首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于VHDL的DES加密算法IP—CORE设计与实现
引用本文:万亮.基于VHDL的DES加密算法IP—CORE设计与实现[J].内江科技,2011,32(11):99-99.
作者姓名:万亮
作者单位:电子科技大学电子工程学院
摘    要:本文在分析DES密算法原理的基础之上,阐述了一种基于VHDL语言的DES加密算法的IP-CORE实现方法该系统采用流水线技术与模块化设计,具有资源占用较少,处理速度适中.稳定性、重用性较好等优点

关 键 词:DES  IP—CORE  VHDL
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号