首页 | 本学科首页   官方微博 | 高级检索  
     检索      

VHDL中状态机的简介及应用
引用本文:翟艳磊.VHDL中状态机的简介及应用[J].科技广场,2005(8):106-109.
作者姓名:翟艳磊
作者单位:东华理工学院电子与机械工程学院,抚州344000
摘    要:本文主要是关于VHDL中状态机的定义、分类、状态的编码以及状态机的进程描述,并通过一个简单的内存控制器的例子来说明其在实际中的应用。

关 键 词:VHDL  状态机  当前状态寄存器(CS)  下一状态组合逻辑(NS)  输出组合逻辑(OL)
文章编号:1671-4792-(2005)08-0084-04

Brief Zntroduction and Application of the State Machine in VHDL
Qu Yanlei.Brief Zntroduction and Application of the State Machine in VHDL[J].Science Mosaic,2005(8):106-109.
Authors:Qu Yanlei
Abstract:
Keywords:VHDL  State Machine  Current State  Next State  Output Logic  
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号