首页 | 本学科首页   官方微博 | 高级检索  
     检索      

VHDL编程彩灯控制电路
引用本文:唐燕影.VHDL编程彩灯控制电路[J].科技广场,2008(12).
作者姓名:唐燕影
作者单位:江西机电职业技术学院,江西,南昌,330001
摘    要:随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。

关 键 词:VHDL  彩灯  仿真  控制

VHDL Compiler Circuit Controlled by Colour Light
Tang Yanying.VHDL Compiler Circuit Controlled by Colour Light[J].Science Mosaic,2008(12).
Authors:Tang Yanying
Abstract:
Keywords:VHDL
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号