首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
成耀  王礼春  顾晖 《内江科技》2007,28(12):109-110
本文借助于Quartus Ⅱ软件在计算机上仿真制作了具有简单运算功能的运算器,由此我们一方面可以了解电路仿真的主要流程,另一方面可以验证运算器的功能.  相似文献   

2.
IIR(无限冲激响应)数字滤波器在许多领域得到广泛应用.这里介绍了一种利用Matlab设计一个IIR数字陷波器的方法,接着在硬件实现时将其转化为二阶级联形式,以VerilogHDL语言书写模块,最后利用Altera公司的Quartus Ⅱ软件进行FPGA设计及仿真.  相似文献   

3.
介绍了π/4DQPSK调制解调的基本原理,在MATLAB7.0.4中对其进行了仿真,画出了误比特率曲线;并完成了π/4DQPSK调制器的FPGA实现.在Quartus8.0中验证了实现的正确性.  相似文献   

4.
高昀 《科教文汇》2011,(15):76-77
本文介绍了使用EDA仿真软件Quartus Ⅱ中的一种设计方法原理图设计八位全加器。  相似文献   

5.
本文主要依靠FPGA平台利用VHDL语言设计数字通信系统的编译码系统.通过在QuartusⅡ7.2上用软件编程实现汉明码编译码、DPSK调制解调等功能,并进行时序仿真验证其逻辑功能,充分体现了FPGA开发周期短、程序修改更新方便和自上而下设计流程等优势,对于其他同类型的设计开发具有指导意义.  相似文献   

6.
介绍了使用QuartusⅡ软件进行电路设计与开发的主要流程;通过使用两片74LS160芯片构成一个五十进制计数器,对QuartusⅡ在数字电路实验中的应用进行了详细的阐述。  相似文献   

7.
基于DDS的信号发生器设计   总被引:1,自引:0,他引:1  
本文基于DDS的基本原理,使用Altera公司的FPGA芯片完成信号发生器的设计,在QuartusⅡ开发环境下采用VHDL语言编程实现。本设计所采用的方法设计的信号发生器结构简单,比采用专用DDS芯片更为灵活。只要改变FPGA中的ROM数据,DDS就可以产生任意波形,因而具有相当大的灵活性和可扩展性。  相似文献   

8.
随着科学技术的不断发展,我国电力系统仿真技术及其相关软件已经取得了非常显著的成就.MATLAB 是一款功能十分强大的软件,它在自身的自动化专业运用以及电力系统中的使用已经越来越普遍,而在相关的专业技术教学系统中也逐渐增加了这种软件中的电力系统仿真部分.本文针对 MATLAB中电力系统仿真的常见问题进行了分析,主要对电流源,电压源及三相负载在常见仿真中出现的问题进行分析,希望有助于相关事业的发展与进步.  相似文献   

9.
现代通信系统要求通信距离远、通信容量大、传输质量好。作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向。本文讨论和仿真实现了基于FPGA的数字化DPSK解调系统。用Altera公司的FPGA开发平台QuartusⅡ实现了一个对基带信号的DPSK解调系统模型的仿真。  相似文献   

10.
张艳邦  张芬 《内江科技》2009,30(8):75-75
考虑路灯更换中所需各种费用问题,本文设计出了合理方案,并用MATLAB软件进行数值仿真。  相似文献   

11.
针对应用神经网络对消除噪声技术进行了研究。主要完成了以下的研究工作:研究了利用神经网络来消除噪声的可行性。探讨了基于反向传播(BP)神经网络和基于径向基函数(RBF)神经网络的消除噪声,并利用MATLAB软件进行仿真,得出一系列仿真波形.  相似文献   

12.
介绍运用计算机仿真工具MATLAB环境下,结合MATLAB软件中的M文件和SIMULINK建模方法实现幅度调制的仿真实验,选用典型仿真范例,结果表明MATLAB能够反映模拟通信系统的工作情况,具有较强的演示性、可视性和实用性,是通信系统学习研究和设计的有力工具。  相似文献   

13.
谢亮 《科技广场》2006,(8):105-106
直接数字频率合成器(DDS)采用的是一种新的频率合成技术,它具有频率分辨率高、频率转换快、相位噪声小等一系列优点。本文根据DDS的基本原理,提出了基于FPGA的DDS设计与实现。利用Quartus II、MATLAB/Simulink、DSP Builder等功能强大的开发工具,分别从不同的角度给出了几种基于FPGA的DDS的实现方式。最后,就这几种实现DDS的方式,对其优缺点进行讨论。  相似文献   

14.
文章介绍了MATLAB软件在自动控制理论学习中的应用,并结合具体实例,分析了利用MATLAB的图形化和交互功能,使抽象的理论变得生动、形象,易于接受.  相似文献   

15.
主要从软件和硬件两个部分对基于FPGA的音乐播放器进行研究设计。硬件部分主要由音符数据地址发生器模块、预置数查表电路模块以及发声频率产生模块组成,软件部分利用Quartus II软件并结合EDA开发工具对各模块进行编译、逻辑综合,完成仿真测试工作。仿真测试结果表明:该音乐播放器能够完成乐曲演奏,各项性能满足设计要求。  相似文献   

16.
软件模拟及仿真实验方兴未艾,它可以模拟真实环境,可以弥补、部分替代硬件设备实验。MATLAB软件中一个组件SIMULINK提供了一个数字建模、仿真及综合分析的环境;设计利用SIMULINK中Simpowersystems的模块对供配电实验系统进行仿真模型搭建,力求建立一个供配电实验的仿真平台。  相似文献   

17.
基于FPGA的数字秒表的VHDL设计   总被引:2,自引:0,他引:2  
文章介绍了用于体育比赛的数字秒表的VHDL设计,并基于FPGA在MAXPLUS2软件下,采用ALTRA公司FLEX10K系列的EPF10K10LC84-4芯片进行了计算机仿真.  相似文献   

18.
分析了基于FPGA技术的流水线操作实现LMS算法的可行性,并完成了LMS滤波器的FPGA实现,通过QuartusII平台仿真分析得出在增加硬件成本的条件下流水线设计速度相比标准LMS算法设计速度提高已经超过3倍。  相似文献   

19.
陈广华 《科技风》2015,(4):64-65
为达到倒立摆的稳定控制,在建立倒立摆状态反馈系统数学模型的基础上,应用状态反馈控制配置系统极点设计倒立摆系统的控制器,从而实现其状态反馈。基于此,利用MATLAB软件对倒立摆的运动进行计算机仿真,仿真结果表明,所设计的方法可使系统稳定工作并具有良好的动静态性能。  相似文献   

20.
基于FPGA的多频电疗仪的设计   总被引:1,自引:0,他引:1  
本文介绍了利用NCO IP核和DSP Builder实现中频理疗信号发生器的详细方法及其原理.在Matlab Simulink中设计了伪随机序列产生模块和正弦信号发生模块,并转换到Quartus Ⅱ中进行了仿真分析,最后下载到FPGA器件上测试.观察示波器的波形可知该设计满足要求.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号