首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 171 毫秒
1.
从设计挑战出发,描述了应用边界扫描和拆分长扫描链的必要性,介绍了几种实现多边界扫描链路的方式,最后提出了以PLD实现JTAG的多链测试并给出了实例。  相似文献   

2.
柴华  谈恩民  江志强 《大众科技》2012,14(3):33-35,39
随着集成电路规模的不断扩大,基于IP核复用的SoC设计技术被广泛应用,但是由于IP核的来源不同,使得SoC的测试变得越来越困难。IEEE Std 1500给IP核提供商与用户之间提供了标准的测试接口,简化核测试信息的复用。文章基于此标准设计了SoC中存储器的Wrapper测试壳结构和BIST控制器,以DRAM和SRAM为测试对象进行验证,结果表明了在不同测试指令和故障模式下,测试壳和控制器的有效性。  相似文献   

3.
徐新民  王倩  尚丽娜  洪波 《科技通报》2006,22(3):405-409
分析了全扫描和逻辑内置自测试这两种方法在芯片可测试设计应用中的利弊,并简要介绍了结合两者优点的DBIST方法和实现该方法的SynopsysSoCBIST工具。通过与全扫描产生结果的对比,指出了对IP核做可测试设计用DBIST方法所具备的测试时间短、测试文件小、测试覆盖率高、可做全速测试及易于在SoC系统中测试等显著优点。  相似文献   

4.
基于Nexys3开发平台,针对CS4344音频处理芯片,为12S音频总线设计IP核,该IP核与集成电路的工艺无关,可以移植到不同的半导体工艺中去生产集成电路芯片。系统将IISIP核添加到PLB总线上,通过总线信号对IP核进行操作。经过测试,该IP核完成了对音频数据的准确输出。  相似文献   

5.
集成电路设计产业是电子信息产业发展的制高点,集成电路IP核作为集成电路设计的关键性技术成果对于产业的发展意义重大。目前,我国集成电路设计产业发展遇到的困难主要是缺乏自主知识产权的集成电路IP核,导致IP核对外技术依存度畸高。为此,有必要通过加强IP核知识产权法律协调保护力度、加快IP核知识产权海外技术收购步伐和加大IP核知识产权研发保护资金投入等方式推动我国集成电路设计产业知识产权战略,提升集成电路IP核的开发、获取和保护能力,促进我国集成电路产业的健康、快速发展。  相似文献   

6.
基于FPGA的多频电疗仪的设计   总被引:1,自引:0,他引:1  
本文介绍了利用NCO IP核和DSP Builder实现中频理疗信号发生器的详细方法及其原理.在Matlab Simulink中设计了伪随机序列产生模块和正弦信号发生模块,并转换到Quartus Ⅱ中进行了仿真分析,最后下载到FPGA器件上测试.观察示波器的波形可知该设计满足要求.  相似文献   

7.
根据单片机I2C串行扩展的特点,在EDA软件Maxplusll的环境下,利用硬件描述语言,建立IP核.此设计利用状态机实现,在给出设计的同时详细说明IP核的建立过程,并下载到芯片通过硬件试验验证.  相似文献   

8.
高校是我国IC产业链中不可缺少的一部分,针对当前集成电路领域企业缺少原始技术积累、高校成果转化困难的问题,提出一种以IP核合作方式促进高校集成电路设计加快发展、实现高校与企业双赢的创新机制.以西安交通大学SoC设计中心近十年来的发展历程为案例进行分析,提出基于IP核开发的高校集成电路设计发展的具体策略.  相似文献   

9.
本文介绍的参数化IP核设计方法,将基于高密度FPGA芯片的IP核设计技术,应用到雷达信号处理电路设计中,提高了设计重用率及可靠性,缩短了设计周期,降低了设计成本。文中着重介绍参数化IP核设计方法及其在雷达信号处理系统实现中的应用。  相似文献   

10.
本文重点阐释了IP网络性能测试的重要性,并对IP网络性能测试的主要指标、关键技术进行阐释。  相似文献   

11.
本文重点阐释了IP网络性能测试的重要性,并对IP网络性能测试的主要指标、关键技术进行阐释。  相似文献   

12.
以ARM为核的嵌入式处理器,配以uCLinux嵌入式操作系统构成的嵌入式系,开发适合于嵌入式设备的网络通信的TCP/IP协议栈.设计并构成了基本的硬件、软件开发平台,并在此基础上按照网络通信协议的工作流程和协议要求,开发并实现了网络通信的TCP/IP协议栈.  相似文献   

13.
ControlLogix系列可编程序逻辑控制器(PLC)提供了多种接口类型:如以太网,ControlNet等,ControlLogix以太网连接模块"1756-ENET"使用了EtherNet/IP,ControlNet等协议,并采用了专业的服务代码封装于以太网协议包中,针对"实验性物理和工业控制系统"(EPICS)开发的驱动程序使用了EtherNet/IP协议,从而使得控制器可以运行vxWorks,RTO和Win32的测试程序.下面对应用此协议的接口进行介绍.  相似文献   

14.
将常用到的、针对SQL数据库操作的C#语句进行汇集和讨论,便于相关人员参考。基于.Net平台下某软件的开发,测试这些代码的实用性和延伸性。实践证明,给出的代码可以成功地操作SQL数据库。测试表明,代码可结合具体的解决方案进行合理更改并使用。  相似文献   

15.
对基于TMS320C5416的DSP最小系统板进行软硬件设计。针对电源电路、时钟电路、复位电路、FLASH存储器、JTAG接口电路等提出可行的设计方案。利用DSP集成开发工具CCS进行程序的编辑和在线仿真调试。所设计电路板在DSP实验箱上通过测试,工作正常。  相似文献   

16.
基于Xilinx FPGA IP核的FFT算法的设计与实现   总被引:1,自引:0,他引:1  
刘彬杰  吴廷婷 《内江科技》2011,32(4):154-155
本文介绍了一种基于Xilinx IP核的FFT算法的设计与实现方法。在分析FFT算法模块图的基础上,以Xilinx Spartan-3ADSP系列FPGA为平台,通过调用FFT IP核,验证FFT算法在中低端FPGA中的可行性和可靠性。  相似文献   

17.
针对目前卫星转发器资源日益紧张的现状提出在卫星通信网中采用IP组播技术进行缓解的一种方法。首先对IP组播技术的各种协议进行了简要的分析和论述,其次根据实际的系统应用分别搭建了平面IP组信号播传输测试系统和卫星IP组播信号传输测试系统,并对上述两个系统进行了测试和评估,最后对卫星通信网中IP组播技术的应用提出了进一步的改进要求。  相似文献   

18.
张煜 《科技风》2011,(11):121-122
本文描述了集成电路知识产权[IP]安全使用平台方案的设计,实现及运营方式。本方案的总体目标为整合本地的SOC设计环境与远程的IP库资源,建设可实现远程IP资源对本地地区IC设计机构进行安全服务的基础设施,设计IP软核、硬核的远程安全服务流程,并以此为基础建立IP使用的平台服务。IP提供者可依托本平台推广其开发的IP模块,并进行IP应用的技术支持工作。IP使用者可通过本平台获得IP产品的查询、评估、应用支持服务,加速SoC开发速度。  相似文献   

19.
《发明与革新》2014,(1):42-42
成果简介:智能电表、智能网应用的多载波技术的核·心技术为:低压电力线信道特性的研究报告、数学模型,及仿真代码和多载波调制,前向纠错信道编码、干扰避免及抵消技术等核·心技术算法文档及代码。基于PRIME标准的电力线通信系统仿真平台硬件原型系统包括数字母板和模拟前端及耦合电力板。  相似文献   

20.
阐述了一款手机基带芯片中的USB核的设计方法,简要讲述了USB的传输方式和工作原理,利用Verilog语言描述.通过ModelSire功能仿真,利用Design Compiler综合,生成一个独立的IP核,可移植于其他SOC中.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号